From 19c7c55d84bf4fa64a2c98f1e82d80799933f6d4 Mon Sep 17 00:00:00 2001 From: Valentin Gehrke Date: Mon, 2 Nov 2015 22:11:35 +0100 Subject: [PATCH] VHDL Snippets --- vim/.vim/snippets/vhdl.snippets | 35 +++++++++++++++++++++++++++++++++ 1 file changed, 35 insertions(+) create mode 100644 vim/.vim/snippets/vhdl.snippets diff --git a/vim/.vim/snippets/vhdl.snippets b/vim/.vim/snippets/vhdl.snippets new file mode 100644 index 0000000..d0913c3 --- /dev/null +++ b/vim/.vim/snippets/vhdl.snippets @@ -0,0 +1,35 @@ +snippet entity + entity ${1} is + ${2} + end entity ${1}; + +snippet architecture + architecture ${1}_impl of ${1} is + ${2} + begin + ${3} + end architecture ${1}; + +snippet case + case ${1} is + ${2} + end case; + +snippet if + if ${1} then + ${2} + end if; + +snippet elif + else if ${1} + ${2} + +snippet else + else + ${1} + +snippet lib + library ${1}; + +snippet use + use ${1}.all;