From f959d44ebc76e04463f3574b9d4f28f091fe12ee Mon Sep 17 00:00:00 2001 From: Valentin Gehrke Date: Sat, 21 Nov 2015 22:48:01 +0100 Subject: [PATCH] XOR added --- xor.txt | 14 ++++++++++++++ 1 file changed, 14 insertions(+) create mode 100644 xor.txt diff --git a/xor.txt b/xor.txt new file mode 100644 index 0000000..e1d58ca --- /dev/null +++ b/xor.txt @@ -0,0 +1,14 @@ +DEF AND + PORT IN A + PORT IN B + PORT OUT Z + + NET C + NET D + NET E + + INST NAND1 NAND A B C + INST NAND1 NAND A C D + INST NAND2 NAND C B E + INST NAND3 NAND D E Z +ENDDEF